WorldCat Linked Data Explorer

http://worldcat.org/entity/work/id/1017273571

Managing temperature effects in nanoscale adaptive systems

Open All Close All

http://schema.org/about

http://schema.org/description

  • ""Temperature variations affect system speed, power, and reliability by altering device parameters such as threshold voltage (VT), mobility ([mu]), and saturation velocity (vsat). The impact of temperature on device performance changes as technology scales. Device on-current has generally been known to decrease as temperature increases; however, as technologies scale further into the nanometer regime, the changes in device parameters and their temperature dependences can cause on-current to increase as temperature increases under certain conditions. In addition to device current changing with temperature, careful control of threshold and supply voltages can render device on-current nearly insensitive to changes in temperature. This dissertation examines the mechanisms affecting the temperature dependence of device current in nanoscale systems, and proposes a set of techniques for (i) detecting the temperature dependence, (ii) controlling and exploiting the temperature dependence, and (iii) compensating for temperature-induced reliability issues. Detection of the temperature dependence will become increasingly critical as technology scales and the impact of temperature on device current reverses at near-nominal voltages. Existing temperature sensors are designed assuming that device current decreases as temperature increases; thus, the reversal of the temperature dependence will cause problems such as false positives, undetected overheating, or undetected timing failures. In this dissertation, we propose a new type of sensor system that can determine the temperature dependence as well as the operating temperature; this sensor system ensures correct detection of overheating and timing-related errors regardless of the temperature dependence, improving system reliability. To control the temperature dependence, prior work has examined the use of multi-VT design methodologies, adaptive body bias (ABB) methods to control VT, and supply voltage scaling to a technology-specific temperature-insensitive supply voltage (VINS). Unfortunately, the use of VINS - even with multi-VT devices and ABB - restricts design to a very specific delay and power operating point, preventing the use of common adaptive techniques such as dynamic voltage scaling. Furthermore, NMOS and PMOS devices each have separate values of VINS, limiting the effectiveness of 'temperature-insensitive' design. In this dissertation, we propose a new method of controlling a circuit's temperature dependence using programmable temperature compensation devices to individually tune pull-up and pull-down networks to their temperature-insensitive operating points. The proposed method also extends the range of supply voltages that can be made temperature-insensitive, achieving insensitivity at nominal voltage for the first time. Although temperature dependences are generally considered to be undesirable, in some applications these dependences can actually be exploited to improve performance. For example, long interconnect links are commonly operated at reduced supply voltages to save energy, while the transmitter and receiver units operate at higher voltages. We propose a delay-borrowing method to exploit the different temperature dependences in the link and transceiver, dramatically improving both energy performance and link reliability. Despite the immense efforts of circuit designers to guardband their systems and maintain reliability in the presence of temperature variations, temperature issues still result in transient effects like temperature-induced delay uncertainty and timing failures, as well as permanent faults caused by hot-electron effects or increased electromigration. In this dissertation, we present methods of managing these reliability issues in a variety of applications, such as improving delay uncertainty in clock trees, integrating temperature-awareness into an adaptive multi-core control unit, and using an in-line test system to bypass intermittent and permanent errors in on-chip interconnect links"--P. iv-v."
  • "This book discusses new techniques for detecting, controlling, and exploiting the impacts of temperature variations on nanoscale circuits and systems. It provides a holistic discussion of temperature management, including physical phenomena (reversal of the MOSFET temperature dependence) that have recently become problematic, along with circuit techniques for detecting, controlling, and adapting to these phenomena. A detailed discussion is also included of the general aspects of thermal-aware system design and management of temperature-induced faults. A new sensor system is described that can determine the temperature dependence as well as the operating temperature to improve system reliability. A new method is presented to control a circuit's temperature dependence by individually tuning pull-up and pull-down networks to their temperature-insensitive operating points. This method extends the range of supply voltages that can be made temperature-insensitive, achieving insensitivity at nominal voltage for the first time.Provides background on aspects of nanoscale circuits and systems that are affected by temperature, how they are affected by temperature, and what systems can be used to reduce these effects; Describes chip implementation details of a new type of temperature sensor that can ensure reliable operation across multiple temperature dependences; Includes new methods for achieving temperature insensitivity with example circuits and fabrication-related details such as process variation management."

http://schema.org/genre

  • "Electronic books"@en
  • "Electronic dissertations"

http://schema.org/name

  • "Managing Temperature Effects in Nanoscale Adaptive Systems"
  • "Managing temperature effects in nanoscale adaptive systems"
  • "Managing temperature effects in nanoscale adaptive systems"@en