WorldCat Linked Data Explorer

http://worldcat.org/entity/work/id/793867890

VHDL-2008 Just the New Stuff

The FIRST source for definitive information about the new features of VHDL 2008!

Open All Close All

http://schema.org/alternateName

  • "VHDL-2008: just the new stuff"

http://schema.org/description

  • "The FIRST source for definitive information about the new features of VHDL 2008!"@en
  • "VHDL-2008: Just the New Stuff, as its title says, introduces the new features added to the latest revision of the IEEE standard for the VHDL hardware description language. Written by the Chair and Technical Editor of the IEEE working group, the book is an authoritative guide to how the new features work and how to use them to improve design productivity. It will be invaluable for early adopters of the new language version, for tool implementers, and for those just curious about where VHDL is headed. * First in the market describing the new features of VHDL 2008; * Just the new features, so existing users and implementers can focus on what's new; * Helps readers to learn the new features soon, rather than waiting for new editions of complete VHDL reference books. * Authoritative, written by experts in the area; * Tutorial style, making it more accessible than the VHDL Standard Language Reference Manual."
  • "VHDL-2008: Just the New Stuff, as its title says, introduces the new features added to the latest revision of the IEEE standard for the VHDL hardware description language. Written by the Chair and Technical Editor of the IEEE working group, the book is an authoritative guide to how the new features work and how to use them to improve design productivity. It will be invaluable for early adopters of the new language version, for tool implementers, and for those just curious about where VHDL is headed. * First in the market describing the new features of VHDL 2008; * Just the new features, so existing users and implementers can focus on what's new; * Helps readers to learn the new features soon, rather than waiting for new editions of complete VHDL reference books. * Authoritative, written by experts in the area; * Tutorial style, making it more accessible than the VHDL Standard Language Reference Manual."@en

http://schema.org/genre

  • "Electronic books"@en
  • "Electronic books"
  • "Llibres electrònics"
  • "Ressources Internet"

http://schema.org/name

  • "VHDL-2008 Just the New Stuff"@en
  • "VHDL-2008 : just the new stuff"
  • "VHDL-2008 00 : just the new stuff"
  • "VHDL-2008 just the new stuff"
  • "VHDL-2008 just the new stuff"@en